PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : Diskussion zu: Hardware- und Nachrichten-Links des 16. September 2021


Leonidas
2021-09-17, 07:18:56
Link zur News:
https://www.3dcenter.org/news/hardware-und-nachrichten-links-des-16-september-2021

Legendenkiller
2021-09-17, 07:36:56
naja da nehmen sie dann wieder irgendwelche syntetischen Benchmarks bei den eine 3080 ja auch doppelt so schnell war wie eine 2080Ti. So wurde sie beworben hat aber im 4k Index gerade mal +40%.

Also wird eine 4090 bei Spielen dann also bestenfalls bei +50% landen.

dildo4u
2021-09-17, 07:45:24
Kommt wie immer drauf an was du benchst wenn du Ende 2022 default Raytraincg ist fast allen games hast skaliert es vermutlich mit 100%.

Es gibt sogar jetzt schon Cross-Gen Games die RT mit Ultra Settings anschalten.

https://youtu.be/AUzcmtMQ2rI?t=238

Finde ich realitisch das eine 4090 F1 und Metro mit 4k/120 schafft.

Gast
2021-09-17, 08:04:07
naja da nehmen sie dann wieder irgendwelche syntetischen Benchmarks bei den eine 3080 ja auch doppelt so schnell war wie eine 2080Ti. So wurde sie beworben hat aber im 4k Index gerade mal +40%.

Also wird eine 4090 bei Spielen dann also bestenfalls bei +50% landen.
Aber auch nur, wenn man wieder die TDP erhöht. Bei ähnlicher TDP ist der Unterschied zwischen 3080 und 2080TI ja noch geringer und in niedrigeren Auflösungen auch. Die +40% sind also eigentlich schon der Best Case für Ampere gegenüber Turing.
Ähnliches fürchte ich bei Lovelace auch, da wird vermutlich wieder die reine Rechenleistung verglichen. Mit den FPS hat das aber nur wenig zu tun.

Besonders interessant dürfte aber diesmal AMD werden. Ob die ihre Effizienz (FPS pro FLOPs) halten können, oder wie viel sie verlieren werden? Die werden mit Sicherheit auch keine 200% zulegen. Aber wie viel es am Ende dann wird, wird interessant werden. Wobei AMD zumindest bei RT wohl die Rechenleistung auch gut brauchen wird, da man ja viel über die Shader macht.

Leonidas
2021-09-17, 08:32:11
RT zu benchen ist tatsächlich sehr der Skalierung zuträglich.

Kriegsgeier
2021-09-17, 09:12:11
dann aber auch min. +70% bei der Leistungsumsetzung ;)

550 Watt lassen grüßen

Die künstliche Intelligenz ist wohl sehr gut bei dem Chipdesign! Und NVIDIA soll wohl die weltweit besten AI-Algorithmen für den Chipdesign intern einsetzen!

Im Endeffekt der Chip entwickelt sich selbst ;)

Gast
2021-09-17, 09:28:50
Samsung 8N --> TSMC 5N sind mindestens 1,5 Nodes wenn nicht mehr. Da sollte eine Performanceverdopplung eigentlich das absolute Minimum darstellen.

Nachdem NV ja aktuell mit Samsung 8N mehr oder weniger auf Augenhöhe mit AMD auf TSMC 7N ist, bzw. mit Raytracing sogar deutlich vorne liegt ist auch kaum anzunehmen, dass man mit gleichwertiger Fertigung plötzlich hinten liegen sollte.


Laut AMD soll der 3D V-Cache zwar kaum Stromverbrauchs-wirksam werden, jene Aussage war aber mehr auf Ryzen-Prozessoren bezogen – und nicht auf Epyc-Prozessoren mit ihren sehr viel größeren Caches.

Der Verbrauch selbst sollte kein Problem sein, aber der 3D V-Cache liegt ja auf dem CPU-DIE, und wird damit zwangsweise die eh schon schlechte Kühlbarkeit der Chiplets noch weiter verschlechtern.

Gast
2021-09-17, 10:04:44
Nvidia wird sicherlich den Abstand zur 80er Serie wieder erhöhen wollen. Hängt im Endeffekt aber auch stark von AMD ab.

Legendenkiller
2021-09-17, 10:14:25
Naja wenn ich das Pi mal Fensterkreuz rechne.

2,2fach Leitung braucht ca. das 2,5 fache an Trasitoren.
Beim Umstieg von 8nm auf 5nm TSMC sollte das ca. die selbe Chipfläche bleiben.

In den letzen 2 Gen waren es so ca. 30% Effizienter.

Bei 30% weniger Verbrauch pro Transistor bin ich so bei über 600W (zu den 350W der 3090)
Da müssten also schon knapp über 40% weniger verbrauch pro Transitor rum kommen um bei "nur" 500W zu bleiben.

Die 550W sind also garnicht so unrealisitsch.

Gast Ritis
2021-09-17, 10:16:44
Wegfall von CU zu gunsten WGP würde ich so nicht sagen.

Mit dem WGP will AMD nur verdeutlichen, dass mehrere CUs zu einem WGP zusammen gefasst sind, die sich dann via Cache die Daten lokal teilen können.

Das ist wichtig für die Optimierung beim Programmieren. Wie HyperThreads bei CPUs auch den gemeinsamen L2 haben, kann gut oder schlecht sein.

Da DX12 jetzt 128er Waves anbietet wird AMD irgendwann auf 32x4, also Quad-CU statt Dual-CU bzw. WGP mit 4 CUs setzen.

Am Ende wird man aber - wenn man die Shader Units bzw. Threads zählen will auch auf die einzelne "CU"x32 nach herkömmlicher Art runter/hoch rechnen müssen.

Die Groteske dabei ist, dass GCN 64er hatte, die für herkömmliche Gaming-Programmierung bei 32er Waves/Warps nicht ausgelastet werden können. Mit den neuen Software-Mesh/RasterShadern an Stelle der alten fixen Render-Pipelines (UE5) machen die breiteren Waves aber wieder Sinn. Volta/Ampere hat ja auf Handling von 64er Warps aufgerüstet. Turing und früher waren AFAIK 32er Warps.

Mega-Zord
2021-09-17, 11:16:55
Klingt auf jeden Fall nach einem Upgrade für meine 2080 Ti. Egal ob AMD oder NV. DDR5 sollte dann auch bezahlbar sein... ich sehe einen neuen Gaming-Rechner ab Ende 2022.

Platos
2021-09-17, 11:57:50
Wie sicher sind denn eig. die 5nm TSMC? Und vor allem: Für welche Produkte soll das überhaupt gelten? Es könnte auch gar nicht für GeForce gelten oder aber nur für die 4090 bzw. dessen Chip (und dessen Salvage-Lösungen).

Aber wenn TSMC 5nm sicher ist, dann ist doppelte Perfomance wirklich konservativ. 8nm ist ein verbesserter 10nm Samsung Prozess und 5nm bringt schon nochmal ein bisschen was, bezüglich 7nm TSMC. Das sind 1.5 Fullnode-Sprünge. Damit ist doppelte Perfomance quasi sicher.

Aber die Frage ist, wie sieht es bei den anderen Chips aus. Bei der 4090 kommt man vlt. mit 400W daher :D Aber wie sieht es mit einer 4060 aus? Kommt die auch in 5nm TSMC?

Eins ist ja mal schonmal zu 100% sicher: Es gibt keine +100% Leistung für's selbe Geld in der selben Preisklasse (unterhalb von 1000$) im Gaming ohne RT und ohne DLSS/FSR.

Platos
2021-09-17, 11:59:12
Klingt auf jeden Fall nach einem Upgrade für meine 2080 Ti. Egal ob AMD oder NV. DDR5 sollte dann auch bezahlbar sein... ich sehe einen neuen Gaming-Rechner ab Ende 2022.

Ja, Raptorlake und Zen4 kommt dann auch gleich, DDR5 könnte dann bezahlbar sein und DP 2.0 sollte dann auch drinn liegen. Also könnte durchaus was werden.

BlacKi
2021-09-17, 12:30:33
also eine gaming performance kann man nicht abgeben. ohne bios? rein auf dem papier? und 2x dann conservative nennen:biggrin:


ich bezweifle aber auch das der chip wieder 600mm² und größer wird. vl der hpc part, aber nicht der gaming chip.

tatsächlich, wird der next ampere aber bestimmt mal wieder einen guten schritt nach vorne machen. ein 450mm² chip mit +60% in bezahlbar wäre schon nicht schlecht.

Nazar
2021-09-17, 12:32:23
Wie sicher sind denn eig. die 5nm TSMC? Und vor allem: Für welche Produkte soll das überhaupt gelten? Es könnte auch gar nicht für GeForce gelten oder aber nur für die 4090 bzw. dessen Chip (und dessen Salvage-Lösungen).

Aber wenn TSMC 5nm sicher ist, dann ist doppelte Perfomance wirklich konservativ. 8nm ist ein verbesserter 10nm Samsung Prozess und 5nm bringt schon nochmal ein bisschen was, bezüglich 7nm TSMC. Das sind 1.5 Fullnode-Sprünge. Damit ist doppelte Perfomance quasi sicher.

Aber die Frage ist, wie sieht es bei den anderen Chips aus. Bei der 4090 kommt man vlt. mit 400W daher :D Aber wie sieht es mit einer 4060 aus? Kommt die auch in 5nm TSMC?

Eins ist ja mal schonmal zu 100% sicher: Es gibt keine +100% Leistung für's selbe Geld in der selben Preisklasse (unterhalb von 1000$) im Gaming ohne RT und ohne DLSS/FSR.

Interessante Aussage.
Ich bin immer wieder erstaunt, dass sich immer noch das Gerücht hartnäckig hält, mit einer Miniaturisierung der Chipherstellung würde immer eine Performance Steigerung einhergehen oder die Performance Steigerung sei garantiert
Bei Ampere wird extrem viel Energie nur in Wärme und nicht annähernd in Leistung umgewandelt.
Schaut man sich die 2080Ti und die 3080 an, wird schnell klar, dass Ampere ein Designproblem hat.
Wenn nV das Design nicht grundlegend ändert, dann bezieht sich die Vorhersage sehr wahrscheinlich "nur" auf eine Verdoppelung (+) der RT Leistung unter optimalen Bedingungen. Dass die 550W dabei deutlich geknackt werden müssen, ist simple Physik.
Was mich wirklich interessiert ist die Richtung in die nV zukünftig gehen wird. Denn eines ist für mich klar; nV muss sich für ein neues technisches Design entscheiden. Der zusätzliche Rucksack der TC ist viel zu energieaufwändig.
Wenn nV da nicht etwas ändert, wird nV demnächst ihr eigenes "intel-Gate" erleben und AMD überflügelt sie.
Bisher haben immer die Konsolen den Takt und die Entwicklung angegeben und da hat AMD einen deutlichen Entwicklungs- und Forschungsvorteil.
Dank Ampere und des damit bedienten RT Hypes, konnte sich nV noch vor AMD halten, aber wenn nV da nicht deutlich zulegt, etwas verändert, dann kann es durchaus sein, dass AMD nV davongaloppieren wird.

Rabiata
2021-09-17, 13:47:25
Interessante Aussage.
Ich bin immer wieder erstaunt, dass sich immer noch das Gerücht hartnäckig hält, mit einer Miniaturisierung der Chipherstellung würde immer eine Performance Steigerung einhergehen oder die Performance Steigerung sei garantiert

Eine moderate Steigerung ist schon noch drin, sonst wäre es sinnlos neue Chipgenerationen zu entwickeln.
Schlimmstenfalls kommt mal ein Stillstand raus, bei dem der Hersteller das Ding zwecks Gesichtswahrung trotzdem auf den Markt bringt. Siehe Phenom II => Bulldozer bei den CPUs. Trotz des nächstkleineren Nodes in der Fertigung kaum Fortschritte, Da denke ich heute noch, daß ein Shrink des Phenom II vermutlich mehr gebracht hätte.

Platos
2021-09-17, 14:24:43
Interessante Aussage.
Ich bin immer wieder erstaunt, dass sich immer noch das Gerücht hartnäckig hält, mit einer Miniaturisierung der Chipherstellung würde immer eine Performance Steigerung einhergehen oder die Performance Steigerung sei garantiert


Natürlich tut es das bei Grafikkarten grunsätzlich. Ansonsten kannst du ja gerne ein Argument bringen, warum es nicht so ist.

Also ich meine ein Argument mit Fakten und nicht einfach nur irgendwelchen Behauptungen wie in deinem jetzigen Kommentar.

Eine moderate Steigerung ist schon noch drin, sonst wäre es sinnlos neue Chipgenerationen zu entwickeln.
Schlimmstenfalls kommt mal ein Stillstand raus, bei dem der Hersteller das Ding zwecks Gesichtswahrung trotzdem auf den Markt bringt. Siehe Phenom II => Bulldozer bei den CPUs. Trotz des nächstkleineren Nodes in der Fertigung kaum Fortschritte, Da denke ich heute noch, daß ein Shrink des Phenom II vermutlich mehr gebracht hätte.

Nix mit moderat. Signifikante Steigerungen sind bei Grafikkarten drinn allein durch einen Full-Node Sprung im Normalfall (wenn es keine Ports sind). Bei gleichem Stromverbrauch und Fläche.

Gast
2021-09-17, 14:37:03
Bei Ampere wird extrem viel Energie nur in Wärme und nicht annähernd in Leistung umgewandelt.


Jede Grafikkarte, und auch jeder andere Mikrochip wandelt >99% der Energie in Wärme um, Ampere ist da nichts besonderes.


Was mich wirklich interessiert ist die Richtung in die nV zukünftig gehen wird. Denn eines ist für mich klar; nV muss sich für ein neues technisches Design entscheiden.


Interessant, das Design ist so schlecht, dass AMD TSMC-7N gegen Samsung 8N braucht nur um gleichzuziehen.

Also entweder ist NVs Design doch nicht so schlecht, oder AMDs ist geradezu beschissen.

Leonidas
2021-09-17, 15:18:53
Wie sicher sind denn eig. die 5nm TSMC?

Inzwischen sehr sicher. Kopite hat es ausdrücklich bestätigt. Und der hält sich mit unsicheren Sachen meist arg zurück.

MiamiNice
2021-09-17, 16:24:59
Ich habe es immer wieder behauptet und werde auch immer wieder dafür belächelt. Aber es wird bald wieder alles beim alten sein.
Geschichte wiederholt sich halt immer wieder.

maximus_hertus
2021-09-17, 16:39:36
Ich habe es immer wieder behauptet und werde auch immer wieder dafür belächelt. Aber es wird bald wieder alles beim alten sein.
Geschichte wiederholt sich halt immer wieder.

nV wird also die Effizienz auf Jahre liebewohl sagen? Oder was wird beim alten sein?

500+W ist dann doch etwas arg viel. 1,5 Nodes und dann braucht man trotzdem 500W für eine Verdoppelung der Leistung?

Customkarten werden sicher die 600W sprengen. Prost Mahlzeit, das wird sicher lustig werden. ATX Mainboards mit genau einem PCIe x16 Slot, da alle 7 Slots für die Kühlung benötigt werden... Nice.

Bis man die 4090 kaufen kann sind es ja noch fast 1,5 JAhre, da kann viel passieren und vor allem, wie ja auch geschrieben wurde, es ist alles nur simuliert.

Gast
2021-09-17, 18:46:21
Nur ~100% mehr RT-Performance wäre sogar enttäuschend wenig, wenn man bedenkt, was die Konkurrenz schon 2016 (https://www.youtube.com/watch?v=uxE2SYDHFtQ&list=PLnOXj03cuJjmRN_Y8aN0vUH_jNbjyDXjB&index=8) mit 28nm erreicht hat. Mit aktueller Fertigung sollte Hybrid-Rendering da längst überflüssig sein.

Gast
2021-09-17, 19:32:42
500+W ist dann doch etwas arg viel. 1,5 Nodes und dann braucht man trotzdem 500W für eine Verdoppelung der Leistung?


1 Node erlaubt die Verdopplung der Transistoren.

1 Node ermöglicht schon lange nicht mehr, bzw. hat es eigentlich noch nie wirklich ermöglicht die Leistungsaufnahme der Transistoren zu halbieren.

Ein Node erhöht die Effizienz vielleicht maximal um 30%, von Samsung 8N auf TSMC 5N sind eher 50-80% wahrscheinlich, aber klar ist eine Leistungsverdopplung bei gleichem Verbrauch wird es nie mehr geben, bzw. hat es eigentlich auch nie, eine Leistungsverdopplung hat immer auch den Verbrauch erhöht.

Die einzige Möglichkeit das zu umgehen sind anstatt generell das Design zu verbreitern, das Transistorbudget mehr in spezialisierte Einheiten zu stecken. Das kann dann sogar zu deutlich mehr als einer verdoppelten Leistung ohne Verbrauchsanstieg führen, aber natürlich immer nur selektiv, wenn diese Spezialeinheiten auch verwendet werden und niemals generell für jede Software.

GerryB
2021-09-18, 00:06:56
Effizienz bei selbem Takt, aber eigentlich wird ja ein höherer Takt mit TSMC angestrebt.
Daher ist Alles reine Speku.

Die techn.mögliche Transistordichte wird auch net voll ausgeschöpft.(zugunsten des Taktes und für weniger Leckströme)

Am Ende wird Jensen für eine Leistung X plädieren ungeachtet des Verbrauchs.(x)
500W incoming

(x) gerade weil NV sicher wieder vor AMD auf den Markt kommen will

TwoBeers
2021-09-18, 11:07:32
Mal von der Leistungssteigerung abgesehen .. wie sieht's mit dem Preispunkt aus?
Wird die zukünftige 4090 dann wieder erst bei 2,5k+ € verfügbar sein?

Dürfen dann normale Grafikkartenkäufer wieder nur mit einer 4060 für 700€ Vorlieb nehmen?

Bin da mal gespannt wo die Reise hingeht und wie sich daraufhin auch die Listenpreise entwickeln werden.
(Das gleiche gilt natürlich auch für AMD)

Ätznatron
2021-09-18, 11:14:17
Mal von der Leistungssteigerung abgesehen .. wie sieht's mit dem Preispunkt aus?
Wird die zukünftige 4090 dann wieder erst bei 2,5k+ € verfügbar sein?

Dürfen dann normale Grafikkartenkäufer wieder nur mit einer 4060 für 700€ Vorlieb nehmen?

Bin da mal gespannt wo die Reise hingeht und wie sich daraufhin auch die Listenpreise entwickeln werden.
(Das gleiche gilt natürlich auch für AMD)

Glaskugel: Ab 4000 Euro, aber ohnehin nicht verfügbar.

12 GB Speicherzwerge ab € 1200,-, und auch kaum erhältlich.

PC Gaming nähert sich dem Ende.

Gast
2021-09-18, 16:32:39
Effizienz bei selbem Takt, aber eigentlich wird ja ein höherer Takt mit TSMC angestrebt.


Beides Erhöht die Effizienz, sowohl weniger Verbrauch bei gleichem Takt als auch mehr Takt, was ja im Endeffekt mehr Leistung bedeutet, bei gleichem Verbrauch.


Die techn.mögliche Transistordichte wird auch net voll ausgeschöpft.(zugunsten des Taktes und für weniger Leckströme)


Das sind 2 Gegenspieler, ein Design mit hohen Leckströmen benötigt in der Regel niedrigere Spannungen für hohe Taktraten, und ermöglicht damit erst die hohen Taktraten, umgekehrt brauchen Designs mit niedrigen Leckströmen irgendwann überproportional mehr Spannung um den Takt noch weiter zu erhöhen und limitieren damit den maximal möglichen Takt.

Das ist auch einer der Hauptpunkte die wir in den heterogenen Designs sehen, der wesentliche Punkt bei den stromsparenden Kernen ist nicht unbedingt die niedrigere IPC, aber ein auf niedrige Leckströme getrimmtes Design, das damit aber gleichzeitig auch die Taktbarkeit limitiert.


Am Ende wird Jensen für eine Leistung X plädieren ungeachtet des Verbrauchs.(x)
500W incoming


Das hängt von den Gegenspielern ab. Bei Pascal hatte man sich mit niedrigen Leistungsaufnahmen bengügt, weil nicht mehr notwendig da die Konkurrenz eh außer Sichtweite war. Mit Turing war die Konkurrenz zwar nicht näher, aber da man zumindest etwas Abstand zu Pascal schaffen musste, hat man den Verbrauch auch moderat erhöht.

Mit Ampere kann nun auf einmal die Konkurrenz mithalten, also musste an in die Vollen gehen.

Sagen wir mal so, Jensen wird das machen was notwendig ist um in der nächsten Generation vorne zu sein, wenn das 500W sind werden sie kommen.
Sollten dafür 300W ausreichen, wird es auch nicht mehr geben (zumindest nicht in den offiziellen Founders Editions).

Gast
2021-09-19, 16:43:47
Siehe Phenom II => Bulldozer bei den CPUs. Trotz des nächstkleineren Nodes in der Fertigung kaum Fortschritte, Da denke ich heute noch, daß ein Shrink des Phenom II vermutlich mehr gebracht hätte.
Naja, kommt drauf an, was mach macht. In BOINC war der Bulldozer halt bei weniger Verbrauch grob 33% schneller. Ich hatte selbst einen 1090T und einen 8120 zum Vergleich und da hat der Phenom2 kein Land gesehen.

Gast
2021-09-19, 16:49:25
Interessant, das Design ist so schlecht, dass AMD TSMC-7N gegen Samsung 8N braucht nur um gleichzuziehen.
Kommt halt auch immer auf die Tests an. In RT hat NV sicherlich einen Vorteil, aber in Rasterizing ist AMD klar effizienter. Da schlägt sogar eine Desktop RX 6800 NVidia's effizienteste Karte, die 3080 Mobile, von der 3070 als effizienteste Desktop ganz zu schweigen.
Aber wenn man bedenkt, wo AMD vor zwei Generationen noch war und wo sie jetzt sind, dann muss man schon klar sagen, dass NVidia wieder ordentlich gas geben muss.

Gast
2021-09-20, 13:19:15
Kommt halt auch immer auf die Tests an. In RT hat NV sicherlich einen Vorteil, aber in Rasterizing ist AMD klar effizienter.

Beim Rasterizing sind sie mehr oder weniger gleich auf je nach Situation ist mal der eine mal der andere vorne, beim Raytracing hat NV klare Vorteile.